uvm_bottomup_phase

Virtual base class for function phases that operate bottom-up.  The pure virtual function execute() is called for each component.  This is the default traversal so is included only for naming.

A bottom-up function phase completes when the execute() method has been called and returned on all applicable components in the hierarchy.

Summary
uvm_bottomup_phase
Virtual base class for function phases that operate bottom-up.
Class Hierarchy
uvm_bottomup_phase
Class Declaration
virtual class uvm_bottomup_phase extends uvm_phase
Methods
newCreate a new instance of a bottom-up phase.
traverseTraverses the component tree in bottom-up order, calling execute for each component.
executeExecutes the bottom-up phase phase for the component comp.

new

function new( string  name )

Create a new instance of a bottom-up phase.

traverse

virtual function void traverse( uvm_component  comp,
uvm_phase  phase,
uvm_phase_state  state )

Traverses the component tree in bottom-up order, calling execute for each component.

execute

virtual function void execute( uvm_component  comp,
uvm_phase  phase )

Executes the bottom-up phase phase for the component comp.

The uvm_void class is the base class for all UVM classes.
virtual class uvm_object extends uvm_void
The uvm_object class is the base class for all UVM data and hierarchical classes.
class uvm_phase extends uvm_object
This base class defines everything about a phase: behavior, state, and context.
virtual class uvm_bottomup_phase extends uvm_phase
Virtual base class for function phases that operate bottom-up.
function new( string  name )
Create a new instance of a bottom-up phase.
virtual function void traverse( uvm_component  comp,
uvm_phase  phase,
uvm_phase_state  state )
Traverses the component tree in bottom-up order, calling execute for each component.
virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Executes the bottom-up phase phase for the component comp.