OVM World

This forum demonstrates the functionality of the OWM World forum currently being put into effect.

I can post now – Good!

This works fine for me:)

Hello OVM;)

Just following the testing the forum path

let’s see if code formatting is supported

class prettyFormat extends ovm_object;
   function void print();
      ovm_report_message("Dummy", "I'm printing prettily");
   endfunction
endclass: prettyFormat

This is a test message.

Just following the testing the forum path
let’s see if code formatting is supported

class prettyFormat extends ovm_object;
function void print();
ovm_report_message("Dummy", "I'm printing prettily");
endfunction
endclass: prettyFormat

Just seeing how quoting works. Plus, I’ll add a little :)

Hi,

Does anyone know the platform and version support for OVM1.0?

Questa??

IUS6.??

David

This is just a test forum - real posts should go to other threads.

To answer your question, though, OVM 1.0 runs on IUS 6.2 and later. I’m not sure of the exact Questa version so I’ll let someone from Mentor answer that.

Thanks
Stuart

Would OVM release 1.0 work with VCS? Any plans for future.

testing 123 :D

Would OVM release 1.0 work with VCS? Any plans for future.

OVM 1.0 will work with any simulator which is compliant with the IEEE-1800 (SystemVerilog) standard. If there are specific language support issues, you will need to contact the tool vendor.

Chuck Gales
Applications Engineer
Mentor Graphics

Is there a formal place to submit feedback & suggestions (for this message-board and the website)? I have a two I’d like to share!

  1. As the “public face” of OVM, and the official message-board, I think it would be very helpful for the message-board to clearly identify official posters: i.e. users representing Mentor/Cadence should be clearly marked – either a little ‘avatar’ (and company logo) by their username, or some other obvious identifying mark next to their postings.

  2. An official “simulator requirements” page, documenting exactly which simulators OVM 1.0 has been tested with. I’m sure Mentor and Cadence’s internal support pages have (or will add) this info. Nevertheless, it’s nice to have this information collected together and posted in a single, easily-accessible document on this website.

Hi,

I’ve just downloaded the OVM kit and tried to run the “hello_world” example but I get compile errors.

% ncvlog -sv -incdir …/…/…/src hello_world.sv

ncvlog: 06.20-p001: (c) Copyright 1995-2007 Cadence Design Systems, Inc.
.
.
.
ncvlog: *E,SVNOIMP (…/…/…/src/base/ovm_report_handler.svh,34|26): The SystemVerilog construct type parameters is not implemented.
(include file: ../../../src/base/ovm_report_handler.svh line 34, include file: …/…/…/src/base/ovm_report_server.svh line 280, include file: ../../../src/base/base.svh line 44, include file: …/…/…/src/ovm.svh line 24, file: hello_world.sv line 25)
class ovm_hash #(type T=int, I1=int, I2=int);

I’m using IUS62 which should support OVM. Is there another command line option that is required to enable OVM support?

Hi, you can use the irun utility to compile/elaborate and simulate. Please do this:

% irun -svpp -incdir …/…/…/src hello_world.sv

This should solve the isues you are facing

All-

OVM 1.0 works with IUS 6.2-p1 and later releases.

All examples included with OVM are run with the following IUS command:

irun -f compile_ius.f

-Stuart

I’m testing and editing posts.

Just checking something else.

Testing, testing

This is a test:)