Hi to all!
I’ve got this kind of problem.
I have 2 .sv files :
First:
`ifndef _GUARD_lib_
`define _GUARD_lib_
class some extends ovm_env;
`ovm_component_utils(some)
function new(string name, ovm_component parent);
super.new(name, parent);
endfunction
task run();
`ovm_info(get_full_name(), "OVM_NONE", "OVM_NONE")
`ovm_info(get_full_name(), "OVM_LOW", "OVM_LOW")
`ovm_info(get_full_name(), "OVM_MEDIUM", "OVM_MEDIUM")
`ovm_info(get_full_name(), "OVM_HIGH", "OVM_HIGH")
`ovm_warning(get_full_name(), "Warning")
`ovm_error(get_full_name(), "Error\n\n")
endtask
endclass
`endif
Second :
`ifndef _GUARD_tb_
`define _GUARD_tb_
module tb;
some a,b,c,d,e;
initial begin
a = new("a", null);
b = new("b", null);
c = new("c", null);
d = new("d", null);
e = new("e", null);
a.set_report_verbosity_level(OVM_NONE);
b.set_report_verbosity_level(OVM_LOW);
c.set_report_verbosity_level(OVM_MEDIUM);
d.set_report_verbosity_level(OVM_HIGH);
$display("OVM_NONE = %d", OVM_NONE);
$display("OVM_LOW = %d", OVM_LOW);
$display("OVM_MIDIUM = %d", OVM_MEDIUM);
$display("OVM_HIGH = %d\n\n", OVM_HIGH);
$display("%d", a.get_report_verbosity_level());
$display("%d", b.get_report_verbosity_level());
$display("%d", c.get_report_verbosity_level());
$display("%d\n\n", d.get_report_verbosity_level());
$display("");
a.set_report_severity_action("OVM_LOW", "OVM_DISPLAY");
b.set_report_severity_action("OVM_LOW", "OVM_DISPLAY");
c.set_report_severity_action("OVM_LOW", "OVM_DISPLAY");
d.set_report_severity_action("OVM_LOW", "OVM_DISPLAY");
run_test();
end
endmodule
`endif
So, I only want to try different types of severity…
I compile this in questa and use this scripts:
vlib work
vlog -f aaa.vlog.f
vsim tb
Where:
aaa.vlog.f :
+incdir+../../src
+incdir+.
files.sv
files.sv:
import ovm_pkg::*;
`include "ovm_macros.svh"
<span style="font-family:'Courier New CYR'">
<font size=2><span style="font-family:'Courier New CYR'">
<font size=2>\`include "lib.sv"
\`include "tb.sv"
</font></span></font></span>
All seems ok, but the thing is that I can see only ovm_error and
ovm_warning.
I looked through OVM examples, and I saw, that they use another thing, like :
module hello_world;
`include "ovm.svh"
`include "packet.sv"
`include "producer.sv"
`include "consumer.sv"
`include "top.sv"
.....
endmodule
But I don’t want to use the same.
So, what I’ve doing wrong ??
Thank you a lot :(