Error (suppressible): (vlog-13276) ../../../agents/apb_agent/apb_seq_item.svh(120): Could not find field/method name (get_handle) in recorder of recorder.get_handle

Hello while trying to do “make build” within the test environment contained in uvm_register_spi_bl_tb.tgz, I get the message stating that there is a missing field/method.
Looking at the vlog-2286 note, it looks like Questa is loading uvm-1.1d. I modified the modelsim.ini file to load uvm-1.2 but I get into other troubles (missing uvm_pkg). Not sure what I am doing wrong here.
Thanks for any hint.

vlog -incr +incdir+…/…/…/agents/apb_agent +incdir+…/…/…/utils …/…/…/agents/apb_agent/apb_agent_pkg.sv
QuestaSim-64 vlog 2021.4_1 Compiler 2021.11 Nov 10 2021
Start time: 02:59:34 on Feb 11,2022
vlog -incr “+incdir+…/…/…/agents/apb_agent” “+incdir+…/…/…/utils” …/…/…/agents/apb_agent/apb_agent_pkg.sv
** Note: (vlog-2286) …/…/…/agents/apb_agent/apb_agent_pkg.sv(22): Using implicit +incdir+/opt/questa/questasim/uvm-1.1d/…/verilog_src/uvm-1.1d/src from import uvm_pkg
** Warning: ** while parsing file included at …/…/…/agents/apb_agent/apb_agent_pkg.sv(25)
** at …/…/…/agents/apb_agent/apb_seq_item.svh(21): (vlog-2263) Redefinition of macro: ‘uvm_record_field’ (previously defined near /opt/questa/questa sim/uvm-1.1d/…/verilog_src/uvm-1.1d/src/macros/uvm_object_defines.svh(3258), old value ’
if (recorder != null && recorder.tr_handle != 0) begin
if (recorder.get_type_name() != “uvm_recorder”) begin
`uvm_record_attribute(recorder.tr_handle,NAME,VALUE)
end
else
recorder.m_set_attribute(recorder.tr_handle,NAME,$sformatf(“%p”,VALUE));
end’, new value ’
$add_attribute(recorder.get_handle(),VALUE,NAME);‘) .
– Compiling package apb_agent_pkg
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(120): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle’.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(120): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle.$$‘.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(121): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle’.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(121): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle.$$‘.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(122): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle’.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(122): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle.$$‘.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(123): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle’.
** Error (suppressible): (vlog-13276) …/…/…/agents/apb_agent/apb_seq_item.svh(123): Could not find field/method name (get_handle) in ‘recorder’ of ’ recorder.get_handle.$$'.
End time: 02:59:35 on Feb 11,2022, Elapsed time: 0:00:01
Errors: 8, Warnings: 1
Makefile:34: recipe for target ‘build’ failed
make: *** [build] Error 2

Issue is solved by pointing to UVM-1.2 in the modelsim.ini file.

In reply to gkamendje: