Can someone provide an complete working example for C-Based Stimulus for UVM

Hi Team,

I would like to validate my RTL based on the C-Reference Model. Can someone provide an complete working example showing how the C function Outpts are used to compare with RTL output in scoreboard.

Thank You in Advance,
Surya

Hi Surya,
thanks for your question. You mention C-Based Stimulus - for a description and example see the CBasedStimulus cookbook page.

However I think what you are really asking for is not stimulus but rather a golden reference model, written in C and instantiated using SV DPI alongside an SV/UVM Scoreboard.

While this is a fairly standard technique and is described well in the SV documentation, regrettably we don’t have a full example of that here in the UVM Cookbook. You can find several useful DPI papers on the internet or perhaps someone can recommend a favorite one here.

In reply to gordon:

hi gordon,
the example you mentioned in CBasedStimulus cookbook page could not be opened because of unexpected broken. could you please upload a new one or could you email me a copy? Thanks very much.

In reply to dylan:

Hi Dylan,
You can use “gzip -d filename.tgz” to decompress tgz file to .tar file.
Then you can use “tar -zxf filename.tar”.

Regards,
DungA

Hi, I think I’m facing the same question with you. I want to bring in the golden C model in and compare the output from golden model and hardware.

Did u find some examples or valuable files? Can u share it to me ?

In reply to leapoo:

Hi Leapoo,

Use DPI function calls (export’s & import’s)to achieve this.
To know how to do this refer to
uvm_cookbook_recipe_of_the_month_cbased_stimulus_for_uvm_tfitzpatrick.pdf

Also, Please try to get something out of the example provided by the Gordon.

Regards,
Surya