Transition Coverage

Hi all ,

I am trying to write bins for a scenario where signal A is high on one posedge of CLK and within 5 Clocks signal b should be high .



logic a , b ;

covergroup cg @ ( posedge clk ) ;

coverpoint {a,b}
{
  bins a_high_to_b_high = ( 2'b10 => ?? ) ; // Need help here 
}
endgroup 


One possibility could be goto repetition Operator [ → ]

Normally ::



 bins bc = ( 12 => 3 [-> 1 ]  ) ;


Indicates 12 => … => 3 . I would prefer the … be between 1 and 4 for my requirement .
Any suggestions ?

Thanks

In reply to Have_A_Doubt:

This would be so much easier if written as a cover directive.

cover property (@(posedge clk) a&&!b |=> ##[1:5] b);

In reply to dave_59:

isn’t it to be “|->” instead of “|=>” as b should be high within 5 clock? Please correct me if i am wrong.

cover property (@(posedge clk) a&&!b |-> ##[1:5] b);