Transition between bins

Hi,
i’m into coverage stuff, my requirement is "If a coverpoint has 10 ranged auto bins ,how to write transition between those 10 bins only??

coverpoint a  {      //here a[63:0]
                 bins auto[10] = {[0:65000]};
               }

in this above code 10 bins of equal ranges will be created , assume
auto[1]:[0:6499]
auto[2]:[6500:12999]

like this bins will be ranged .

now, how to write transition bins between auto[1] => auto[2]???
further i don’t want it to to be written exclusively , i want those to be created automatically ,so that different transitions can be HIT…

is there anyway to do it??

In reply to unofficial_id:

Transition bin syntax is very limiting. There is nothing to do this automatically for you.

You could write a generic covergroup to handle one set of transitions, and then construct an array of them

covergroup cg(int alb,c,d);

coverpoint signal {
  bins trans = {[a:b]=>[c:d];}
}
endgroup

Thanks dave_59…u r very much active…cud see most of the questions answered by you…keep up the gud work …!!!