Questasim10.2a can’t check coverages about the process “P08”
the other process “P09” is covered
they using the same signal
and I checked the process “P08” by waveform
Is that a tool problem? and What is the solution
P08:
process (reset, clk)
begin
if (reset='1') then
idle_st_d0 <= '0';
idle_st_d1 <= '0';
drdy <= '0';
cnt_drdy <= (others=>'0');
elsif rising_edge(clk) then
idle_st_d0 <= idle_st;
idle_st_d1 <= idle_st_d0;
if (sre_idle_st='1') then
drdy <= '1';
cnt_drdy <= "11111";
elsif (cnt_drdy/="000000") then
drdy <= '1';
cnt_drdy <= cnt_drdy - '1';
else
drdy <= '0';
end if;
end if;
end process
P09:
process (reset, clk)
begin
if (reset='1') then
drdy <= '0';
cnt_drdy <= (others=>'0');
elsif rising_edge(clk) then
if (sre_idle_st='1') then
drdy <= '1';
cnt_drdy <= "11111";
elsif (cnt_drdy/="000000") then
drdy <= '1';
cnt_drdy <= cnt_drdy - '1';
else
drdy <= '0';
end if;
end if;
end process;