Ignore_bins on all except specific values

Hi,

I have a coverpoint enum of 900 values.
I would like to ignore all the values except 4 of them, but I would prefer not to write the 896 values in the ignore_bin list, but to use something like:
ignore_bins ig_err_id = !{value1,value4,value7,value9}; There is a way to do it?

For example:
covergroup CG;
error_id : coverpoint err;
endgroup
When err can be value0, value1, …, value899 , and I would like to ignore all the values except value1,value4,value7 and value9.

Thanks in Advance,
Meital

In reply to MeitalShal:

Why don’t you write the bins that you want to cover, the rest will be ignored anyways.

covergroup CG;
error_id : coverpoint err {
 bins err_id[] = {value1,value4,value7,value9};
}
endgroup

Usually, you only write ignore_bins when they overlap existing bins ranges.