Having problem to get coverage bin sampled when using object oriented testbench

coverpoint req1_cmd_in {
bins arithmatic_op = {[Add : Sub]};
bins logic_op = {[shift_left : shift_right]};
bins no_operation = {no_op};

	 bins arith_no_op[] = ([Add : Sub] => no_op );
     bins logic_no_op[] = ([shift_left : shift_right] => no_op);
	 
     bins arith_logic[] = ([Add : Sub] => no_op[* 4] => [shift_left : shift_right]);
     bins logic_arith[] = ([shift_left : shift_right] => no_op[* 4] => [Add : Sub]);

     bins twarith[] = ([Add : Sub] => no_op[* 4] => [Add : Sub]);
     bins twlogic[] = ([shift_left : shift_right] => no_op[* 4] => [shift_left : shift_right]);
	 
	 bins invalid1 = {invalid_op};
  }

This is the sample of a coverpoint in a covergroup. In my covergroup there are 4 this kind of identical coverpoint. I was able to get all the cover bins sampled when I was using module based testbench. But when I shifted to object oriented testbench, those coverbins are not getting sampled. I am not able to identify the problem, as in the waveform they are running fine.Please suggest me, what to do?

In reply to adhikary:

Are you sure you are calling the sample() method? Can you call get_coverage() on the covergroup?

In reply to dave_59:

Thank you for your reply. Yes i have called the get_coverage() method and it is showing me the result as “3.846154e+00”. Is there any way I can upload my whole code files? I have a makefile also.

In reply to adhikary:

Then it seems you have a tool related issue in showing the coverage in classes. This forum is not for tool specific issues.

In reply to dave_59:

Ok, thank you for your reply.