FSM Coverage - FSM extraction issues with irun

Hi,

I’ve run into a problem while attempting to extract FSM Coverage due to FSM’s not being recognised when running irun (IUS 14.2). I was hoping someone could suggest a solution or a workaround.

The design I am verifying implements all FSMs in the following way

  • Both next state and output combinatorial logic are implemented with a case(curr_state) sort of methodology.
  • Current state logic is implemented differently - The next_state register is passed on as an input to a hamming register instance within the same scope. The output of the hamming reg is the current state (there is a very good reason for using the hamming register). Consequently, I believe this prevents the extraction of all FSMs. While this is not critical, it would be nice to be able to get some statistics for FSMs.

Can anyone suggest a workaround this? I could not find any options within the tool documentation to help with FSM extraction.

Svet

You should take up any tool issue with your tool vendor.