Fixed number of cover bins

Hi,

the code looks something like this:

covergroup bus_vals @(posedge clk);
  input logic [3:0] addr;
    addr_rannge: coverpoint addr
{
    bins high_range [3]={[8:15]};
}
endcovergroup

3 separate bins should look like this: <8,9>, <10,11>, <12,13,14,15>
how is it decided each bin has how many values??

In reply to to_learn_uvm:

If a fixed number of bins is specified and that number is smaller than the specified number of values, then the possible bin values are uniformly distributed among the specified bins. The first N specified values are assigned to the first bin, the next N specified values are assigned to the next bin, etc. Duplicate values are retained; thus the same value can be assigned to multiple bins. If the number of values is not divisible by the number of bins, then the last bin will include the remaining items.

That last sentence applies to your case.