Coverage not enabled

Hi,
I am running simulation in questa sim.
after running the simulation , when coverage report is used, RTL module code coverage is not coming and it shows “no coverage” near the RTL module.

What is the problem?
Is there any flag need to be set?

Thanks,
Aj

In reply to Asan Jasima:

If using 3 step flow

vlog -f myfiles.f
vopt top_tb +cover=sbfec+/tb/dut. -o top_tb_cov_opt
vsim -coverage top_tb_cov_opt

or

If using 2 step flow

vlog -f myfiles.f
vsim -coverage -voptargs="+cover=sbfec+/tb/dut. top_tb