Coverage for assertion->DE assertion->assertion of a signal

Hi, I am writing the functional coverage for the first time, I need to write coverage for the signal sequence assert->de assert->assert ;de assert->assert->assert
these does not happen in consecutive edges of the sampling clock. I don’t know how to write this.
I tried using the transition bins like

covergroup INTERUPT_CG @(i_pclk i);
option.per_instance = 1;
OOR_INTRPT_WITHOUT_FORCE: coverpoint oor_intrpt_status iff(!oor_force_intrpt){
bins oor_status =(0=>1=>0);
}
NO_CLK_INTRPT_WITHOUT_FORCE: coverpoint no_clk_intrpt_status iff(!No_clk_force_intrpt){
bins No_clk_status =(0=>1=>0);
}
endgroup

but there it checks for the consecutive edges of sampling where it is detecting 1,1,1,1,1,0,0,0,0,1,1,1 but not the 1…0…1(we don’t know how many clks between 1 &0)

Thanks,
Bhavya

In reply to bhavyasri:

Try writing an (assertion) property for the given sequence and cover it!