CAn a coverage bin depends on other bin in same cover group

HI,
I came across an condition where my one coverage bin should hit only if other specific bin in same cover group is already HIT.

Sample Code:
covergroup abc_cg;

  abc_cp : coverpoint abc_hit iff(!rst)      {
     bins ABC =  { 4'b1000 }  iff(abc_en ==1);      
     bins MUST_HIT =  { 4'b1100 }  iff(**BIN ABC is HIT**); }

Will you please guide me how can we implement this?

Regards,
Kaustubh

In reply to kaustubhpagedar:

You may want to look at a transition bin

 bins MUST_HIT = ( 4'b1000 => 4'b1100 [->1] );