Array of coverbins and its cross

Hello,

Let us say, I have an array

bit [7:0] value[65];

I want to define coverbins of this variable where I want to check each index of value has been configured with all its possible value.
[value[0] has all values between 0 to 255 and same with all value index]

Also, I want to check the cross for each value index.
[when value[0] = 1, value[1] to value[64] has all values between 0 to 255 and same for all possible cross]

Code with dedicated value is not a good solution I feel, is there any better way?

I think what you are asking to do is not very practical. Do you realize how many samples it would take to get 100% coverage?

In reply to dave_59:

While Dave’s concern is perfectly valid, if this coverage is must and needs to have configurability the mere SystemVerilog features of covergroup may not suffice. It would need bit of additional logic. Bit of scripting in perl or python to ease the task of configurability.