Posted by yr on Sep 28, 2020
UVM #delay uvm_components uvm error #uvm import uvm_pkg::*; `include "uvm_macros.svh" `include "lab2.svh" class test_base extends uvm_test; `uvm_component_utils (test_base) my_subscriber subscriber; function new (string name, uvm_com ...
Question