Verification Academy

Search form

My Account Menu

  • Register
  • Log In
  • Topics
  • Courses
  • Forums
  • Patterns Library
  • Cookbooks
  • Events
  • More
  • All Topics
    The Verification Academy offers users multiple entry points to find the information they need. One of these entry points is through Topic collections. These topics are industry standards that all design and verification engineers should recognize. While we continue to add new topics, users are encourage to further refine collection information to meet their specific interests.
    • Languages & Standards

      • Portable Test and Stimulus
      • Functional Safety
      • Design & Verification Languages
    • Methodologies

      • UVM - Universal Verification Methodology
      • UVM Framework
      • UVM Connect
      • FPGA Verification
      • Coverage
    • Techniques & Tools

      • Verification IP
      • Simulation-Based Techniques
      • Planning, Measurement, and Analysis
      • Formal-Based Techniques
      • Debug
      • Clock-Domain Crossing
      • Acceleration
  • All Courses
    The Verification Academy is organized into a collection of free online courses, focusing on various key aspects of advanced functional verification. Each course consists of multiple sessions—allowing the participant to pick and choose specific topics of interest, as well as revisit any specific topics for future reference. After completing a specific course, the participant should be armed with enough knowledge to then understand the necessary steps required for maturing their own organization’s skills and infrastructure on the specific topic of interest. The Verification Academy will provide you with a unique opportunity to develop an understanding of how to mature your organization’s processes so that you can then reap the benefits that advanced functional verification offers.
    • Universal Verification Methodology (UVM)

      • Advanced UVM
      • Basic UVM
      • Introduction to UVM
      • UVM Connect
      • UVM Debug
      • UVMF - One Bite at a Time
    • Featured Courses

      • Introduction to ISO 26262
      • Introduction to DO-254
      • Clock-Domain Crossing Verification
      • Portable Stimulus Basics
      • Power Aware CDC Verification
      • Power Aware Verification
      • SystemVerilog OOP for UVM Verification
    • Additional Courses

      • Assertion-Based Verification
      • An Introduction to Unit Testing with SVUnit
      • Evolving FPGA Verification Capabilities
      • Metrics in SoC Verification
      • SystemVerilog Testbench Acceleration
      • Testbench Co-Emulation: SystemC & TLM-2.0
      • Verification Planning and Management
      • VHDL-2008 Why It Matters
    • Formal-Based Techniques

      • Formal Assertion-Based Verification
      • Formal-Based Technology: Automatic Formal Solutions
      • Formal Coverage
      • Getting Started with Formal-Based Technology
      • Handling Inconclusive Assertions in Formal Verification
      • Sequential Logic Equivalence Checking
    • Analog/Mixed Signal

      • AMS Design Configuration Schemes
      • Improve AMS Verification Performance
      • Improve AMS Verification Quality
  • All Forum Topics
    The Verification Community is eager to answer your UVM, SystemVerilog and Coverage related questions. We encourage you to take an active role in the Forums by answering and commenting to any questions that you are able to.
    • UVM Forum

      • Active Questions
      • Solutions
      • Replies
      • No Replies
      • Search
      • UVM Forum
    • SystemVerilog Forum

      • Active Questions
      • Solutions
      • Replies
      • No Replies
      • Search
      • SystemVerilog Forum
    • Coverage Forum

      • Active Questions
      • Solutions
      • Replies
      • No Replies
      • Search
      • Coverage Forum
    • Additional Forums

      • Announcements
      • Downloads
      • OVM Forum
  • Patterns Library
    The Verification Academy Patterns Library contains a collection of solutions to many of today's verification problems. The patterns contained in the library span across the entire domain of verification (i.e., from specification to methodology to implementation—and across multiple verification engines such as formal, simulation, and emulation).
    • Implementation Patterns

      • Environment Patterns
      • Stimulus Patterns
      • Analysis Patterns
      • All Implementation Patterns
    • Specification Patterns

      • Occurrence Property Patterns
      • Order Property Patterns
      • All Specification Patterns
    • Pattern Resources

      • Start Here - Patterns Library Overview
      • Whitepaper - Taking Reuse to the Next Level
      • Verification Horizons - The Verification Academy Patterns Library
      • Contribute a Pattern to the Library
  • All Cookbooks
    Find all the methodology you need in this comprehensive and vast collection. The UVM and Coverage Cookbooks contain dozens of informative, executable articles covering all aspects of UVM and Coverage.
    • UVM Cookbook

      • UVM Basics
      • Testbench Architecture
      • DUT-Testbench Connections
      • Configuring a Test Environment
      • Analysis Components & Techniques
      • End Of Test Mechanisms
      • Sequences
      • The UVM Messaging System
      • Other Stimulus Techniques
      • Register Abstraction Layer
      • Testbench Acceleration through Co-Emulation
      • Debug of SV and UVM
      • UVM Connect - SV-SystemC interoperability
      • UVM Versions and Compatibility
      • UVM Cookbook
    • Coding Guidelines & Deployment

      • Code Examples
      • UVM Verification Component
      • Package/Organization
      • Questa/Compiling UVM
      • SystemVerilog Guidelines
      • SystemVerilog Performance Guidelines
      • UVM Guidelines
      • UVM Performance Guidelines
    • Coverage Cookbook

      • Introduction
      • What is Coverage?
      • Kinds of Coverage
      • Specification to Testplan
      • Testplan to Functional Coverage
      • Bus Protocol Coverage
      • Block Level Coverage
      • Datapath Coverage
      • SoC Coverage Example
      • Requirements Writing Guidelines
      • Coverage Cookbook
  • All Events
    No one argues that the challenges of verification are growing exponentially. What is needed to meet these challenges are tools, methodologies and processes that can help you transform your verification environment. These recorded seminars from Verification Academy trainers and users provide examples for adoption of new technologies and how to evolve your verification process.
    • Upcoming & Featured Events

      • Creating an Optimal Safety Architecture  - February 9th
      • The ABC of Formal Verification - February 11th
      • Events Calendar
    • On Demand Seminars

      • I'm Excited About Formal...
      • Visualizer Coverage
      • Formal-based ‘X’ Verification
      • 2020 Functional Verification Study
      • All On-Demand Seminars
    • Recording Archive

      • Improving Your SystemVerilog & UVM Skills
      • Should I Kill My Formal Run?
      • Visualizer Debug Environment
      • All Recordings
    • Mentor Training Center

      • SystemVerilog for Verification
      • SystemVerilog UVM
      • UVM Framework
      • Instructor-led Training
    • Mentor Learning Center

      • SystemVerilog Fundamentals
      • SystemVerilog UVM
      • Questa Simulation Coverage Acceleration Apps with inFact
      • View all Learning Paths
  • About Verification Academy
    The Verification Academy will provide you with a unique opportunity to develop an understanding of how to mature your organization's processes so that you can then reap the benefits that advanced functional verification offers.
    • Blog & News

      • Verification Horizons Blog
      • Academy News
      • Academy Newsletter
      • Technical Resources
    • Verification Horizons Publication

      • Verification Horizons - November 2020
      • Verification Horizons - July 2020
      • Verification Horizons - March 2020
      • Issue Archive
    • About Us

      • Verification Academy Overview
      • Subject Matter Experts
      • Contact Us
    • Training

      • Questa® & ModelSim®
      • Questa® inFact
      • Functional Verification Library
Ask a Question
UVM RAL
  • Home /
  • Forums /
  • Search

Forum Search

Results

  1. How to maintain multiple mirror value in a register

    Posted by Usr021 on May 29, 2016
    UVM uvm RAL mirror value Hi all, I am new to RAL and recently I run into a case in which one register requires multiple mirror values to be maintained. I am hoping anyone could help me on this topic. For demonstration, let's assume DUT has 2 register ...

    Question
    UVM
    uvm RAL mirror value

  2. Driving through RAL.

    Posted by kapil khare on May 29, 2016
    UVM RAL Hello sir, I am new in UVM. My question is based upon the RAL Adapter class. sir as per my knowledge, in uvm environment, uvm_driver is used to sending the request to sequence to generate the transactions and send to driver by different methods wh ...

    Question
    UVM
    RAL

  3. RAL

    Posted by gopal_susarla on May 17, 2016
    UVM RAL DUT instantiates module A module A instantiates module B & module C. Module B is a APB slave and module C is a APB master. How do I verify RAL in module B? I have an APB agent that I need to 'connect' to module B to control the APB i ...

    Question
    UVM
    RAL

  4. Accessing successive numbered registers in SV/UVM

    Posted by shridutt.nv on Jan 6, 2016
    UVM RAL Cookbook: Registers Hi, I am looking to access numbered registers: reg0, reg1, reg2,... and stat0,stat1,stat2,... but the number of registers that I access is dependent on a variable n For Eg: n=1 => reg_blk.reg0.write(status,value0); reg_blk.s ...

    Question
    UVM
    RAL Cookbook: Registers

  5. Executing RegModel translation sequence on sequencer, does not have an upstream sequencer defined. Execution of register items available only via direct calls to 'do_rw_access'

    Posted by Jayakirthi Reddy on Dec 9, 2015
    UVM RAL Cookbook: Registers/ModelStructure Hi, I am trying implement RAL. I am getting following UVM_WARNING and my test is hanging. UVM_WARNING /synopsys/vcs_mx_J-2014.12-sp3/etc/uvm/reg/uvm_reg_sequence.svh(137) @ 0: uvm_test_top.env.sagent.ssp_seqr@@s_ ...

    Question
    UVM
    RAL Cookbook: Registers/ModelStructure

  6. I need to know when a W1C register is written

    Posted by lucaskoelho on Oct 13, 2015
    UVM RAL WC uvm register w1c Hi there, I have a W1C register which has the funcionality to reset a counter. If I can't check the value into register, because it will be always zero, how I can know that there's a write operation in the register? H ...

    Question
    UVM
    RAL WC uvm register w1c

  7. Can one use values from a previously run sequence to constraint a future sequence?

    Posted by owenjarvie on Oct 7, 2015
    UVM RAL `uvm_do_on virtual sequence virtual sequencer Hi, My current situation is that I have an SPB UVC which implements RAL sequences. These sequences fine, having tested the SPB UVC extensively. The SPB sequences are used to drive DAI register values, ...

    Question
    UVM
    RAL `uvm_do_on virtual sequence virtual sequencer

  8. RAL Concept, Benefits?

    Posted by withankitgarg on Aug 24, 2015
    UVM uvm RAL uvm_reg uvm_reg frontdoor backdoor uvm_reg_adapter Hi, I have understood how RAL is used in UVM. But still I am not clear about the benefits of using RAL. Point 1.) Backdoor access can be done using the hierarchical path without RAL then how R ...

    Question
    UVM
    uvm RAL uvm_reg uvm_reg frontdoor backdoor uvm_reg_adapter

  9. Getting UVM_ERROR for Register Abstraction Layer, But UVM_ERROR's At the end of simulation shown as 0

    Posted by shreemant.vats on Aug 17, 2015
    UVM uvm RAL Getting UVM_ERROR as follows during simulation: # UVM_ERROR: get: unable to locate hdl path top.svr.sw_up.device.MAN_REGS.rdt_life_tim # Either the name is incorrect, or you may not have PLI/ACC visibility to that name # UVM_INFO /home/shreema ...

    Question
    UVM
    uvm RAL

  10. RAL_model

    Posted by Nijanthan on Mar 31, 2015
    UVM RAL Ral model how to write Front door access code? ...

    Question
    UVM
    RAL

Pages

  • ← previous
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • next →

Filter by forum:

  • UVM Remove UVM filter

Filter by content type:

  • Question (52) Apply Question filter

Filter by solution status

  • Has a solution (9) Apply Has a solution filter

Filter by author:

  • shreemant.vats (4) Apply shreemant.vats filter
  • Reuben (3) Apply Reuben filter
  • Chandra Bhushan Singh (2) Apply Chandra Bhushan Singh filter
  • maxiale (2) Apply maxiale filter
  • SV_UVM_Learner (2) Apply SV_UVM_Learner filter
  • abhishek403 (1) Apply abhishek403 filter
  • ash7 (1) Apply ash7 filter
  • cdn (1) Apply cdn filter
  • craigd (1) Apply craigd filter
  • dipling (1) Apply dipling filter
  • Edison_yu (1) Apply Edison_yu filter
  • gopal_susarla (1) Apply gopal_susarla filter
  • hvgbl (1) Apply hvgbl filter
  • Jayakirthi Reddy (1) Apply Jayakirthi Reddy filter
  • kapil khare (1) Apply kapil khare filter
  • kothaluri rajashekhar (1) Apply kothaluri rajashekhar filter
  • lucaskoelho (1) Apply lucaskoelho filter
  • mitesh.patel (1) Apply mitesh.patel filter
  • Mohamed_TN (1) Apply Mohamed_TN filter
  • msuthar (1) Apply msuthar filter
  • murali405 (1) Apply murali405 filter
  • NickZ (1) Apply NickZ filter
  • Nijanthan (1) Apply Nijanthan filter
  • nikhilverif (1) Apply nikhilverif filter
  • nsshah (1) Apply nsshah filter
  • owenjarvie (1) Apply owenjarvie filter
  • raghunalla (1) Apply raghunalla filter
  • raja.kuraku (1) Apply raja.kuraku filter
  • saraTel (1) Apply saraTel filter
  • seabeam (1) Apply seabeam filter
  • shahkavish77 (1) Apply shahkavish77 filter
  • sheila_hsu (1) Apply sheila_hsu filter
  • shreypatel7 (1) Apply shreypatel7 filter
  • shridutt.nv (1) Apply shridutt.nv filter
  • SilentB (1) Apply SilentB filter
  • Sivasankaran M (1) Apply Sivasankaran M filter
  • soloist_huaxin (1) Apply soloist_huaxin filter
  • spigeld (1) Apply spigeld filter
  • t.sukanthi (1) Apply t.sukanthi filter
  • Usr021 (1) Apply Usr021 filter
  • vamsi.int@gmail.com (1) Apply vamsi.int@gmail.com filter
  • verif_learner (1) Apply verif_learner filter
  • Vperrin (1) Apply Vperrin filter
  • withankitgarg (1) Apply withankitgarg filter

Filter by question keywords:

  • RAL Remove RAL filter
  • uvm (6) Apply uvm filter
  • UVM (4) Apply UVM filter
  • Advanced UVM Register (3) Apply Advanced UVM Register filter
  • uvm_reg_block (3) Apply uvm_reg_block filter
  • uvm_reg_map (3) Apply uvm_reg_map filter
  • frontdoor (2) Apply frontdoor filter
  • Mirrored values and desired values in UVM RAL (2) Apply Mirrored values and desired values in UVM RAL filter
  • uvm register (2) Apply uvm register filter
  • uvm register w1c (2) Apply uvm register w1c filter
  • uvm_reg (2) Apply uvm_reg filter
  • uvm_reg frontdoor backdoor (2) Apply uvm_reg frontdoor backdoor filter
  • `uvm_do_on (1) Apply `uvm_do_on filter
  • aliased registers (1) Apply aliased registers filter
  • backdoor (1) Apply backdoor filter
  • broadcast writes (1) Apply broadcast writes filter
  • BURST (1) Apply BURST filter
  • Cookbook: Registers (1) Apply Cookbook: Registers filter
  • Cookbook: Registers/BackdoorAccess (1) Apply Cookbook: Registers/BackdoorAccess filter
  • Cookbook: Registers/ModelStructure (1) Apply Cookbook: Registers/ModelStructure filter
  • Cookbook: Registers/RegisterModelOverview (1) Apply Cookbook: Registers/RegisterModelOverview filter
  • cover groups (1) Apply cover groups filter
  • coverage (1) Apply coverage filter
  • hierarchy reference (1) Apply hierarchy reference filter
  • mirror value (1) Apply mirror value filter
  • mirrored value (1) Apply mirrored value filter
  • null (1) Apply null filter
  • Package (1) Apply Package filter
  • Pipelined (1) Apply Pipelined filter
  • ral backdoor (1) Apply ral backdoor filter
  • RAL register UVM (1) Apply RAL register UVM filter
  • Read Method (1) Apply Read Method filter
  • Register abstraction Layer (1) Apply Register abstraction Layer filter
  • register adapter (1) Apply register adapter filter
  • register layer (1) Apply register layer filter
  • registers (1) Apply registers filter
  • RTL (1) Apply RTL filter
  • timeout (1) Apply timeout filter
  • unable to locate hdl path (1) Apply unable to locate hdl path filter
  • UVM callback (1) Apply UVM callback filter
  • UVM RAL Backdoor Write hdl_path (1) Apply UVM RAL Backdoor Write hdl_path filter
  • uvm register sequences (1) Apply uvm register sequences filter
  • UVM_BACKDOOR (1) Apply UVM_BACKDOOR filter
  • uvm_config_db #(...)::get() (1) Apply uvm_config_db #(...)::get() filter
  • uvm_mem (1) Apply uvm_mem filter
  • uvm_reg_adapter (1) Apply uvm_reg_adapter filter
  • uvm_reg_sequence (1) Apply uvm_reg_sequence filter
  • virtual sequence (1) Apply virtual sequence filter
  • virtual sequencer (1) Apply virtual sequencer filter
  • WC (1) Apply WC filter

© Mentor, a Siemens Business, All rights reserved www.mentor.com

Footer Menu

  • Sitemap
  • Terms & Conditions
  • Verification Horizons Blog
  • LinkedIn Group
SiteLock