Why we need virtual interface

Why we need virtual interface and what is the use of virtual interface in class

In reply to ramDV:

See The Missing Link: The Testbench to DUT Connection | Technical Paper | Verification Academy

https://verificationacademy.com/forums/systemverilog/why-do-we-need-virtual-interfaces-system-verilog#reply-39874