Why in uvm only build phase having super.build phase,for connect phase why we didnt use super.connect phase?

why in uvm only build phase having super.build phase,for connect phase why we didnt use super.connect phase ?

In reply to lalithjithan:

All _phase()s have a super.phase(), it just that the build_phase() is the only one that has functionality within it. You need to call uvm_component::build_phase() if you use any the the uvm_field* macros, and we strongly recommend against using those macros.

In reply to dave_59:

Hi Dave, so do u mean that we just only need to super.build_phase in the build phase when extending uvm_component? because, there are no functionality in other phase in the uvm_component. Am i correct?
Thanks

In reply to timag:

You only need to call super.build_phase if you use the UVM field macros.