Why Multiple Agents in UVM

Why do I need t create multiple agents for the multiple interfaces. Why can’t I simply create multiple drivers in a single agent and make them communicate with the different interface.

Also please tell why do I need monitor in between to communicate with scoreboard? why can’t it be sent from directly interface to scoreboard?

In reply to Abhinav123:

It looks like you are even not familiar with the very Basics of the UVM. To get a better understanding I recommend this course as a first step:
https://verificationacademy.com/courses/basic-uvm

In reply to chr_sue:

please answer the question, I don’t have full access to verification academy

First of all, I strongly suggest you make an effort to sound more polite. Insisting that someone ‘please answer the question’ is not a great way to actually get your questions answered in a forum. This could be somewhat excused if you don’t speak english fluently (I’m not a native speaker myself), but still.

If you don’t have full access to the Verification Academy, a great place to start would be John Aynsley’s (Doulos) YouTube channel. The first few videos of the ‘Easier UVM Video Tutorial’ playlist will answer this and many other questions you may have about the basic principles underlying the UVM.

In reply to Abhinav123:

OK, I see. As Isaac is proposing, Doulos is always a ggod source for excellent UVM material.
In Addition to the YouTube Video you’ll find here what you Need:
https://www.doulos.com/knowhow/sysverilog/uvm/