Why doesn't UVM allow to clone/copy of uvm register map?

Hi,
I am curious about clone/copy of uvm_reg_map as in UVM, there is commented code of do_copy and do_clone function inside uvm_reg_map.svh file
I would like to know reason behind this.

// clone

function uvm_object uvm_reg_map::clone();
  //uvm_rap_map me;
  //me = new this;
  //return me;
  return null;
endfunction


// do_copy

function void uvm_reg_map::do_copy (uvm_object rhs);
  //uvm_reg_map rhs_;
  //assert($cast(rhs_,rhs));

  //rhs_.regs = regs;
  //rhs_.mems = mems;
  //rhs_.vregs = vregs;
  //rhs_.blks = blks;
  //... and so on
endfunction