Why cant we run same sequence in multiple sequencer ? I want the perfect reason For that?

I am trying to run a sequence in TEST at 2 sequencer at a time, but getting a Error for UVM.

What i am Trying replicate of that

class test extends uvm_test;
sequence a=new();
task run_phase(uvm_phase phase)
fork
a.start(seqr1); //Trying to Run same sequence allocated memory once on multiple sequencer.
a.start(seqr2);
join
endtask
endclass

Error what i getting

UVM_ERROR verilog_src/uvm-1.1/src/seq/uvm_sequencer_base.svh(1196) @ 334: uvm_test_top.EI_CAN_ENV.ACTIVE_NODE_AGENT_1.ACTIVE_NODE_AGENT_1_UVM_SEQR [SEQFINERR] Parent sequence ‘uvm_test_top.EI_CAN_ENV.ACTIVE_NODE_AGENT_1.ACTIVE_NODE_AGENT_1_UVM_SEQR.ei_can_base_seq’ should not finish before all items from itself and items from descendent sequences are processed. The item request from the sequence ‘uvm_test_top.EI_CAN_ENV.ACTIVE_NODE_AGENT_1.ACTIVE_NODE_AGENT_1_UVM_SEQR.ei_can_base_seq’ is being removed.

I really want to know the Perfect Reason of this Problem, where exactly uvm is getting stuck ??

A uvm_sequence contains several internal variables that are used to control the sequence. Because of this, a sequence cannot be run on more than one sequencer at the same time. You can clone the sequence to a second handle and run the second instance in parallel if you want.