What is the use of parametrized class in sv?

what is the difference parametrized class and normal class and what is the use of parametrized class?

In reply to lalithjithan:

Please read my DVCon paper that goes into this in detail. And my SystemVerilog for UVM OOP seminar discusses this as well.