What is the scope of forever loop in say main_phase?

If in the main_phase of the monitor i have a forever loop to sample the transactions and sent it to the analysis port, will it be in effect till the end of simulation or will it be terminated at the end of main_phase ?
My guess is any while,fork-join ,forever etc will be terminated at the end of the respective phases in which they are called.
Can somebody please confirm this?

Thanks,
Maya

In reply to Maya Sasidharan:

Any processes created during a specific phase will be terminated when that phase is terminated. This is one reason that you should use only the run_phase() and not use any of the other phases.

In reply to cgales:

Thanks a lot !!