Suppose I have a sequence_item class as follows:
class my_seq_item extends uvm_sequence_item;
//rand variables declared here
`uvm_object_utils(my_seq_item)
//remaining code here
endclass
And I have a sequence class as follows:
class my_seq extends uvm_sequence #('type');
`uvm_object_utils(my_seq)
my_seq_item m_item;
task body;
//create object
//remaining code here
endtask
endclass
1) Is the 'type' here 'my_seq_item' or 'm_item'? (i.e. is it the name of the class or the class handle?)
2) Also, is there anything wrong with my code or am I missing something? Just wanted to check if my general understanding of sequence and sequence_item classes were correct.