What is the exact difference between "trasaction" and "sequence_item" in uvm?

Iam not able to understand it.

In reply to Mounica reddy:

It is simply

class uvm_seq_item extends uvm_transaction;

For more details see the UVM Reference Manual (´describes the methods added in comparison to uvm_transaction).