What do they have a relationship between sequencer and adapter which in their hierarchy?

Dear All,

I’m come across the point set the APB sequencer and adapter for the register model as the below.

uart_ctrl_tb.sv

function void ctrl_tb::connect_phase(uvm_phase phase);
reg_model.default_map.set_sequencer(apb0.master.sequencer, uart_ctrl0.reg2apb);
endfunction

uart_ctrl_env.sv

reg_to_apb_adapter reg2apb

Can I use virtual sequencer instead “apb0.master.sequencer” ?

In reply to UVM_LOVE:

No. The purpose of set_sequencer() is to provide the sequencer handle associated with the agent used to create the appropriate sequence_items. In this case, you need to use the sequencer handle for the apb agent since the registers are accessed via the APB.