Virtual

Why all tasks are called virtual in uvm?
What is significance of virtual keyword?

In reply to om30:

In short, UVM virtual task comes from virtual method in a class in SystemVerilog.
Definition of virtual method and it’s simple example are in Sec. 8.20 in IEEE1800-2012/2017.

In reply to kitanisi:

Can you please explain little bit why virtual is written before task and function in uvm

In reply to om30:

Please see

http://go.mentor.com/SV-OOP

https://www.edn.com/design/systems-design/4461407/Inheritance-and-polymorphism-of-SystemVerilog-OOP-for-UVM-verification

https://www.doulos.com/knowhow/sysverilog/tutorial/classes/

http://www.project-veripage.com/sv_class_10.php