Variable registration

Hi Anyone,
please tell how to register the below variable (letter_val)

 enum {A,B,C,D,E,F,G} letter
    
  letter letter_val[int]

by registering in the below mentioned way it gives waring
uvm_field_aa_int_int(letter_val,UVM_MEDIUM)

Thanks
Ganesh

In reply to Ganesan Thangarajan:

There is a special field macro for an enumeration type:

`uvm_field_enum (cmd_t, cmd, UVM_ALL_ON)
The last Argument defines what should be provided by the UVM.
Note, it is not recommended for several reasons to use field macros.

In reply to Ganesan Thangarajan:

The field registration macros provide registration for a limited number of types, and an associative array of enums is not one of them.

We strongly recommend not using any of the field registration macros due to their poor performance among a number of other issues.

In reply to dave_59:

Hi dave

It means we cant able to register an enum variable Of associative array indexed by int typa right.
thanks
Ganesh

In reply to Ganesan Thangarajan:

Correct.

In reply to dave_59:

thank u dave & chr_sue