Uvm_vreg_field access policy

How register field access is taken care in uvm_vreg_field?
There is no register field access attribute in uvm_vreg_field like in uvm_reg_field like RO, WO

In reply to rohithgm:

If all your fields in the register have the same access policy, you can add it as an access right when adding the register to the map.
A 2nd solution can be to use a callback on the fields you require.

In reply to nilaci:

In reply to rohithgm:
If all your fields in the register have the same access policy, you can add it as an access right when adding the register to the map.
A 2nd solution can be to use a callback on the fields you require.

Thanks, Can you please elaborate on the 2nd solution ?