"uvm_test": syntax error, unexpected IDENTIFIER and Error in class extension specification

Hi,

I’m facing a issue with the simple test class (code below) and the error is

** Error: env_test.sv(1): near “uvm_test”: syntax error, unexpected IDENTIFIER
** Error: env_test.sv(1): Error in class extension specification.

Code:
class env_test extends uvm_test;
`uvm_component_utils(env_test)

//----- Handler -----
adder_env adder_env_h;

//----- Constructor -----

function new(string name, uvm_component parent);
super.new(name, parent);
endfunction: new

//----- Beuild Phase Creating and Assigning the Handler -----

function void build_phase(uvm_phase phase);
adder_env_h = adder_env::typ_id::create(“adder_env_h”, this);
endfunction: build_phase

endclass: env_test

The command used to execute is “make LIBNAME=uvm_dpi64 BITS=64 -f Makefile.questa comp”

Can you suggest me where im going wrong?

Thanks.

In reply to Chetanm:

Did you import uvm_pkg::*; and `include “uvm_macros.svh”?