Uvm_set_action is not working properly during simulation albeit providing the argument properly

I was trying to suppress all the uvm_info from one agent in my simulation so I provided :

+UVM_TESTNAME=test1 +uvm_set_action=uvm_test_top.i_host.,ALL,ALL,UVM_NO_ACTION +uvm_set_action=uvm_test_top.i_device.,ALL,ALL,UVM_NO_ACTION
from command line but I am not able to control the prits from the intended agents. Prints are still coming.
Can we give a wild card argument in place of the agent?
How can we stop the print from the required agents?

In reply to Subhra Bera:

Could you please explain what are you setting from the command line with +uvm_set_action?
If I understand you right you want to suppress certain uvm_infos. This can be done by changing the verbosity for these components and not the action.

[In reply to chr_sue:

I know that I can change the verbosity so that those verbosities which are beyond the value of set verbosity can be sppressed. But I want to suppress all the messages from the agent.
Because in my environment I am using a VIP which has a lot of info print so I want to suppress all the prints from the VIP.

+uvm_set_action=<comp>,<id>,<severity>,<action>

:: In place of severity and id I have used ALL. And in place of action, I have used UVM_NO_ACTION so that all messages of severity will be suppressed but I am not getting the desired result. Still, I am seeing print from the VIP.

In reply to Subhra Bera:
Which kind of mesages do you have?.
By the way you can implement the changes also in your UVM code without touching your VIP code. Make the settings simply in the environment where the VIP has been installed.