Uvm_sequence get_full_name does not have the correct heirarchy

I have a test which creates and runs a sequence on a particular sequencer.

Test.sv

//create temp_seq
temp_seq = temp_seq_c::type_id::create(“temp_seq”,get_full_name);
//Start seq on sqr
temp_seq.start(env.vsqr.my_vsqr.temp_sqr)

In the temp_seq class I print the full hierarchy of this sequence.
I am expecting the hierarchy to be “uvm_test_top.env.vsqr.my_vsqr.temp_sqr.temp_seq”. Instead it prints “temp_seq”.
This is messing up the config_db#get function, as I am unable get anything from configuration database that was set from the test. Could anybody tell me why is this hierarchy getting messed up?

In reply to ziggy:

The reason for this is that sequences are extended from uvm_object and therefore don’t really have a hierarchical path as they will be accessed by different components.

If you want to use the config_db, do it in the test and assign the result to the handle inside the sequence.

In reply to cgales:

Please share an example of communication between uvm_test and uvm_sequence.
I had a plan of using uvm_config_db::*get.

In reply to kamalakar_sanka17:

https://verificationacademy.com/cookbook/config/configuringsequences