UVM_sequence driver related

i have one question.
according uvm_sequence driver flow we are randomize one packet at a time and driver drive it,but next packet is not generate until Item_done method call,but i have to do first generate 15 transaction and store it in one queue and after that drive it.so how it possible.

In reply to Henriques:

Independently what you believe a driver can process only 1 seq_item at a time. When the item_done was send the next seq_item is immediately available without any time delay. Storing 15 seq_items in a queue is not differently behaving but you need more resources of your computer.