Uvm_sequence

Can we able to run multiple sequences parallelly using the sequence-library instead of back to back sequences to the agent?

In reply to validation_noob:

yes