Uvm_report_catcher unable to set_verbosity

Does anybody know how the set_verbosity works inside the uvm_report_catcher. As I understand default verbosity is UVM_MEDIUM hence I set the verbosity to UVM_HIGH so the message wont get displayed. Looks like the message is still getting printed on the logfile, another workaround is to use set_action(UVM_NO_ACTION). but what shld be the correct value to set in the set_verbosity(“”) so that it wont display on the logfile.

Example here:

In reply to advaneharshal:

The problem is by the time you get to the report catcher, verbosity has already been processed. This needs to be documented in the UVM LRM;it’s not there, but thats what the code does.

If you simply want the error to disappear, use
return CAUGHT;
instead of
return THROW;
.

Callbacks like this are very inefficient because every single message has to go through this callback.

A simpler approach is using set_report_severity_id_verbosity on the component, or set_report_severity_id_action.