UVM_RE_MATCH

Hi :
I am not sure what i did wrong in below code, why is the output MATCH ? i have tried both with/wo UVM_REGEX_NO_DPI

[\code]
import uvm_pkg::*;
`include “uvm_macros.svh”

module sub();
string scope = “top.abc.xyz.uvc”;
initial begin
if (uvm_re_match(“edf”, scope)) begin
$display(“MATCH”);
end
end
endmodule
[\code]

In reply to VE:

umm_re_match returns an error code (from regexec) if there is no match. 0 means there is a successful match.

In reply to VE:

Here is a useful example: