UVM_Phases

Dear ones,

 in UVM_Phases there is a run_phase, its continuously executing the code in my, how will the simulation get stop when i use the  UVM_objections, what exactly happen when i use raise_objection and drop_objection?

can some one give me answer please.

In reply to sivak026:

In the run_phase you can implement the objection mechanism. This is the recommeded place.
This run_phase will be finished after all objections has been dropped.
Your run_phase of the test could look like this:

task run_phase(uvm_phase phase);
.....
  phase.raise_objection(this);
  seq.start(env.agent.sequencer);
  phase.drop_objection(this);
....
endtask

In reply to sivak026:

See http://www.sunburst-design.com/papers/CummingsDVCon2011_UVM_TerminationTechniques.pdf