'UVM_LOW': undeclared identifier

hello all ,
During compilation using following command compile.tcl – +define+EN_SPMI_VIP, I came across an error stating as ‘UVM_LOW’: undeclared identifier for the below statement .can any one please help me how to resolve it .

`uvm_info(“WRITE”,“inside Ram0”,UVM_LOW)

Thanks in advance

In reply to venky970:

UVM_LOW defines the reporting verbosity. This might not be the error.
`uvm_info is a macro. Did you include uvm_macros.svh?

In reply to chr_sue:

yes , i have included uvm_macros.svh as well , with inclusion only i was observing this error and if compile without spmi define in compile command as follows compile.tcl, the compilation is going through with no errors but [b]when spmi define is included above errors are observed

even the following has been observed ‘UVM_INFO’: undeclared identifier [12.5(IEEE)].

In reply to venky970:

Then the error is not in this line. It might be befor this line and depend on a `define.
Could you please show the code around?

In reply to chr_sue:

ifndef Modem define Modem
function automatic writeRam34(input [31:0] address1, input [31:0] data32, input integer dut = DUT); begin reg [3:0] wordline,rdata_bd,address; reg [31:0] relative_addr,offset,mem_line_number; **uvm_info(“XMEM_ACCESS_BD_WRITE”,“inside writeRam0”,UVM_LOW) /// error line
**
if(address>= XMEM0_START_ADDR && address <=XMEM0_END_ADDR ) begin

        generic_backdoor_write_25bit_mem(loc_data, data ,address, data_new);

        `uvm_info("writeRam0",$sformatf(" after data_new =%0h ",data_new),UVM_LOW)
        end
 else 
       `uvm_error("writeRam0","required address trying to access is not in the XRAM0 range ")

end

`endif

note :
this file was inlcuded in task31.sv file as follows include "mod.sv" preceeding another define include “define23.sv”

the observed errors which shared earlier were shown in mod.sv file

In reply to venky970:

data_new is not defined here. And I do not see where the deine for EN_SPMI_VIP has been used.

In reply to chr_sue:

i missed that part here and tried even defining data_new varaoble and EN_SPMI_VIP is included in package , but still issue persists

In reply to venky970:

OK, but you did not show the part of code which is related to the define.
And your function does not have a type.
You should correct this.