UVM_library

hello seniors,

please suggest me how to to add UVM library in Questasim 10.4e

In reply to uvm_verification:

This forum is not for tool related issues. Please review your tool documentation or contact your vendor support team for additional assistance.