`uvm_info verbosity_level

Hi all,

I was going through UVM basic .when I use uvm_info like below uvm_info(“mg”, $psprintf(“DUT received cmd=%b, addr=%d, data=%d”, _if.cmd, _if.addr, _if.data), UVM_MEDIUM);
and run the simul;ation with
irun -uvm filename +UVM_VERBOSITY=UVM_NONE .I expect this message not to be printed in log based on verbosity from command line.But still it shows in log file .How can I avoid it to be printed in log.

Thanks,

In reply to granjan:

You might have another piece of code somewhere that’s configuring the verbosity.

In reply to Tudor Timi:

Hi Granjan,

I’d suggest to first confirm that the verbosity level is what you expect.
You can find it out by doing a little experiment on your code.
Get the verbosity level by using the get_verbosity_level method. It is present in each class that is derived from the uvm_component.
To ensure that is gets printed I’d use the $display command instead of `uvm_info, since it is printed regardless of the verbosity value.

In case the verbosity level is different than you’d expect, check your run script and/or source code.
Something must be overwriting your settings.

In case the verbosity level is what you expect then some strange things must be going on (or the same message is printed from other sources with lower verbosity).

Regards,
Peter