Uvm_info not getting displayed but $display does

I have this following function called from a property, in which if I use uvm_info it is not displayed but $display gets displayed.
function void display(int expected_cycles, int actual_cycles);
begin
`uvm_info(" PROPERTY",$sformatf(" THROUGHPUT: actual_cycles %0d expected_cycles %0d",actual_cycles,expected_cycles) ,UVM_LOW)
$display(" THROUGHPUT: actual_cycles %0d expected_cycles %0d",actual_cycles,expected_cycles);
end
endfunction

Also, I tried using uvm_info along with assert, even that didn’t work! I have also imported uvm_pkg and included uvm macros file.
assert property (throughput) else `uvm_info(“PROPERTY FAILURE”,$sformatf(“THROUGHPUT PROPERTY FAILED”) ,UVM_LOW);

The +UVM_VERBOSITY IS SET TO UVM_NONE

+UVM_VERBOSITY=UVM_NONE before running the regression.
Please help!

In reply to Nimisha Varadkar:

Remove the +UVM_VERBOSITY setting… By default it goes to UVM_MEDIUM.

In reply to logie:

It’s set to UVM_NONE.

In reply to Nimisha Varadkar:

I think this is the reason why nothing is printing out… You have set verbosity to “none”. Either remove it or set it to UVM_MEDIUM.

In reply to logie:

Isn’t statement with UVM_NONE always printed?

In reply to Nimisha Varadkar:
Try using uvm_error instead of uvm_info. There is not verbosity setting for errors. I would also try uvm_report_error() direectly instead of using the macro.

In reply to dave_59:

Thanks, Dave! UVM_ERROR worked!

In reply to Nimisha Varadkar:

When you set the verbosity ( via the command line switch ) using +UVM_VERBOSITY=UVM_NONE

Only statements with verbosity of UVM_NONE get displayed .

Since you have a verbosity of UVM_LOW for the `uvm_info
in the initial code , it will Never be displayed .

In reply to TC_2017:
Thanks for the insight!

In reply to TC_2017:

In reply to Nimisha Varadkar:
When you set the verbosity ( via the command line switch ) using +UVM_VERBOSITY=UVM_NONE
Only statements with verbosity of UVM_NONE get displayed .
Since you have a verbosity of UVM_LOW for the `uvm_info
in the initial code , it will Never be displayed .

BUT the standard is saying (F.2.2.4 uvm_verbosity):
UVM_NONE—The report is always printed (NONE = 0); the verbosity level setting cannot disable it.

In reply to chr_sue:

Christoph, please read the posts more carefully. The `uvm_info message was using UVM_LOW, which is higher than UVM_NONE, so that message was getting filtered.