Uvm_hdl_deposit and add_hdl_path

Hi,
What is the dependency between uvm_hdl_deposit and add_hdl_path? Is uvm_hdl_deposit enough to do backdoor access of memory or it needs add_hdl_path too? The reason I am asking is because anyways we give full mem path in uvm_hdl_deposit, doesnt it then make add_hdl_path redundant?

Thanks,
Chander

In reply to Chander_M:

function int uvm_hdl_deposit( string path, uvm_hdl_data_t value)
The function has 2 arguments where the first one is the path. It does not need the seperate HDL-path setting.