UVMF: virtual interface and and hierarchical references

Hi,
UVMF’s concept of using virtual driver_bfm and monitor_bfm interfaces which contain hierarchical references to the actual if interface seeems to violate IEEE 1800-2017 LRM stating in section 25.9:

Although an interface may contain hierarchical references to objects outside its body or ports that reference other interfaces, it shall be illegal to use an interface containing those references in the declaration of a virtual interface.

In fact, Xcelium will generate a set of warnings regarding that at the elaboration stage. Is it planned to be addressed in UVMF?

In reply to adrianf0:

Hi,

 The use of a virtual interface that has an interface in its port list works in all simulators.  This includes xcelium, though it creates a warning.  There is no plan to change this interface use model in UVMF.