UVM_ERROR: uvm_test_top.env0.axi_master_agent.sequencer [ The sequence item and the agent's config do not match]

Hi,

I have used 'axi_master_AXI_write" sequence item in our axi verification environment.while running the simulation i am getting following error.

UVM_ERROR @ 0: uvm_test_top.env0.axi_master_agent.sequencer [The sequence item and the agent’s config do not match] sequence item requires config type mvc_config_base, but agent’s actual type was axi_vip_config.

i am using latest qvip data base from mentor.

Anybody has any idea about this error.

Thanks
Sunil

The most common cause of this error is a mis-match in the parameterisation of the sequence vs the vip_config for the agent/interface it is running on.

You should check your parameterisation of bus field widths.