Uvm_config_db :: set/get

HI All,

I am setting an object using uvm_config_db::set and getting this object using uvm_config_db::get. Object has one member “count=10” before setting object. But after getting the object value of count is not reflected correctly(count !=10). I am not sure whether the problem is in set or get. How can I debug this type of problem in UVM? Please reply.

Thanks,
Rahul

Check the instance path provided while setting.

Check the strings used while setting and getting.

Collect the returned data from the get method and check whether getting is successful or failed.

If possible, send your snippets

In reply to puttasatish:

uvm_config_db#()::set(null ,““, …)
uvm_config_db#()::get(this ,”
”, …)

try like this while getting the config db object

if(!uvm_config_db #(type_name)::get(this,“”,“your string”,local config variable))
`uvm_fatal(get_type_name(),“getting failed”,UVM_LOW)

In reply to rahulkumarkhokher@gmail.com:

in the get method, use empty double quotes without wild card character.

what are the third and fourth arguments in set and get methods?

In reply to avpchandra:

Hi avpchandra

While using get pass the 2nd argument as “” .