Uvm_config_db get from inside uvm_sequence

How could one do a get from inside the sequence class? Sequences are not part of the component hierarchy. I have seen it being used as so -

uvm_config_db #(something):: get(null, get_full_name(), “”, ___)

what would be the equivalent set()? Would global wildcard set(null, “*”, “”, ___) work?

In reply to kernalmode1:

https://verificationacademy.com/cookbook/config/configuringsequences

In reply to dave_59:

Ah, thanks!