Uvm_confg_db

How to set a integer variable from test using uvm_confg_db method, which i can access in base class of default sequence in the environment.

In reply to jayeshranjan:

Do normal uvm_config_db #(T)::set() the way you do for other things…there is no difference.

Only thing which you need to remember is that while getting it in a sequence , ist parameter that you will pass to the config_db::get needs to be null as “context” is expected to be uvm_component but sequence is uvm_object.

In reply to uvm_user235:

You want to use m_sequencer as the context for the get() call. This allows you to target your configurations based on the sequencer.