Hello all,
Please go through the template given below followed by my doubt in the same.
/MONITOR INSIDE AN AGENT/
class monitor extends uvm_monitor;
`uvm_component_utils(monitor)
ex_transaction xtn;
uvm_analysis_port#(ex_transaction)ap;
task run_phase(uvm_phase phase);
forever
begin
//collecting logic
ap.write(xtn);
end
endtask
endclass: monitor
/*SCOREBOARD INSIDE ENVIRONMENT/
class scoreboard extends uvm_scoreboard;
`uvm_component_utils(scoreboard)
ex_transaction xtn;
uvm_analysis_export#(ex_transaction)expo;
endclass: scoreboard
Here is my doubt…
For the write call made in the monitor do I need to implement the same in my scoreboard or is it implicitly taken care of(As I found write method in source file UVM_ANALYSIS_EXPORT base class) ?